Click here to Skip to main content
15,898,010 members
Please Sign up or sign in to vote.
0.00/5 (No votes)
See more:
We are writing a code using vhdl. Please tell us elaborately how to add the fixed_pkg code into the ieee_proposed library.

The fixed package is probably found here:https://sourceforge.net/p/ghdl-updates/ghdl-updates.git/ci/8c778be42999972dcda1aac95999e0eb1a5e3e9c/tree/libraries/ieee2008/fixed_pkg.vhdl#l48[^]. Is this the fixed_pkg code that we have to add?

We are trying to make the following code work

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

library ieee_proposed;
use ieee_proposed.fixed_pkg.all;


--use ieee.fixed_pkg.all;


entity fixed_point1 is
Port ( a,b : in STD_LOGIC;
c : in STD_LOGIC;
d : in STD_LOGIC_VECTOR (3 downto 0));
end fixed_point1;

architecture Behavioral of fixed_point1 is
signal example : ufixed (3 downto -4);
begin
example <= "10011100";

end Behavioral;


This code is synthesised properly.However during simulation it is giving some compilation error( 'fixed_pkg' is not compiled in library ieee_proposed [../../../fixed_point1.srcs/sources_1/new/fixed_point1.vhd:26]). Please tell us elaborately how to compile fixed_pkg in ieee_proposed so that the simulation works.

What I have tried:

We have tried to include libraries as mentioned in this discussion but we are still getting error.

https://forums.xilinx.com/t5/Simulation-and-Verification/IEEE-Proposed-Fixed-point-librarys-and-Vivado-2013-3-simulator/td-p/435908
Posted
Updated 1-Aug-16 22:17pm
v2

This content, along with any associated source code and files, is licensed under The Code Project Open License (CPOL)



CodeProject, 20 Bay Street, 11th Floor Toronto, Ontario, Canada M5J 2N8 +1 (416) 849-8900